Home
last modified time | relevance | path

Searched refs:isAlwaysTrue (Results 1 – 6 of 6) sorted by relevance

/aoo4110/main/connectivity/source/drivers/kab/
H A Dkcondition.cxx44 sal_Bool KabConditionConstant::isAlwaysTrue() const in isAlwaysTrue() function in KabConditionConstant
65 sal_Bool KabConditionColumn::isAlwaysTrue() const in isAlwaysTrue() function in KabConditionColumn
178 sal_Bool KabConditionOr::isAlwaysTrue() const in isAlwaysTrue() function in KabConditionOr
180 return m_pLeft->isAlwaysTrue() || m_pRight->isAlwaysTrue(); in isAlwaysTrue()
191 if (m_pLeft->isAlwaysTrue() || m_pRight->isAlwaysTrue()) return sal_True; in eval()
205 sal_Bool KabConditionAnd::isAlwaysTrue() const in isAlwaysTrue() function in KabConditionAnd
207 return m_pLeft->isAlwaysTrue() && m_pRight->isAlwaysTrue(); in isAlwaysTrue()
219 if (m_pLeft->isAlwaysTrue() && m_pRight->isAlwaysTrue()) return sal_True; in eval()
H A Dkcondition.hxx42 virtual sal_Bool isAlwaysTrue() const = 0;
54 virtual sal_Bool isAlwaysTrue() const;
69 virtual sal_Bool isAlwaysTrue() const;
141 virtual sal_Bool isAlwaysTrue() const;
150 virtual sal_Bool isAlwaysTrue() const;
H A DKStatement.cxx334 if (pCondition->isAlwaysTrue()) in selectAddressees()
/aoo4110/main/connectivity/source/drivers/macab/
H A Dmacabcondition.cxx45 sal_Bool MacabConditionConstant::isAlwaysTrue() const in isAlwaysTrue() function in MacabConditionConstant
66 sal_Bool MacabConditionColumn::isAlwaysTrue() const in isAlwaysTrue() function in MacabConditionColumn
199 sal_Bool MacabConditionOr::isAlwaysTrue() const in isAlwaysTrue() function in MacabConditionOr
201 return m_pLeft->isAlwaysTrue() || m_pRight->isAlwaysTrue(); in isAlwaysTrue()
212 if (m_pLeft->isAlwaysTrue() || m_pRight->isAlwaysTrue()) return sal_True; in eval()
226 sal_Bool MacabConditionAnd::isAlwaysTrue() const in isAlwaysTrue() function in MacabConditionAnd
228 return m_pLeft->isAlwaysTrue() && m_pRight->isAlwaysTrue(); in isAlwaysTrue()
240 if (m_pLeft->isAlwaysTrue() && m_pRight->isAlwaysTrue()) return sal_True; in eval()
H A Dmacabcondition.hxx44 virtual sal_Bool isAlwaysTrue() const = 0;
56 virtual sal_Bool isAlwaysTrue() const;
70 virtual sal_Bool isAlwaysTrue() const;
148 virtual sal_Bool isAlwaysTrue() const;
157 virtual sal_Bool isAlwaysTrue() const;
H A DMacabStatement.cxx342 if (pCondition->isAlwaysTrue()) in selectRecords()

Completed in 25 milliseconds